FAQ

Q. プログラマブルFPGAモジュールを使用する場合に必要なソフトウェアがわかりません。
A. 

Speedgoat製品を動作させるために必要なMATLABに加えて、以下の製品が必要になります。
・MathWorks社 HDL Coder
・Speedgoat社 HDL Coder Integration Packages
・Xilinx社のソフトウェア

Xilinx社のソフトウェアの詳細は下表を参照してください。

I/O Module

Software

Comments

IO323

Xilinx ISE Design Suite 14.7

If a current Xilinx ISE license is not available, Xilinx Vivado Design Suite (including Xilinx ISE Design Suite 14.7) must be purchased. The same license file can be used for both products.
Note: MATLAB R2020a will be the last release that supports the Xilinx® ISE®-based workflows.

IO331

Xilinx ISE Design Suite 14.7

IO332-200k

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

Alternatively, the free-of-charge WebPACK version of the corresponding Vivado Release can be used.

IO333-325k
IO333-325k-SFP
IO333-410k
IO333-410k-SFP

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

IO334-325k

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

IO335-325k

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

IO342-1080k
IO342-1450k

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

IO397-50k

Vivado Version table
Xilinx Vivado Design Suite:
R2018a: Version 2017.2
R2018b: Version 2017.4
R2019a: Version 2018.2
R2019b: Version 2018.3
R2020a: Version 2019.1

Alternatively, the free-of-charge WebPACK version of the corresponding Vivado Release can be used.

 

お問い合わせはこちら
ページ上部へ戻る